Welcome to P K Kelkar Library, Online Public Access Catalogue (OPAC)

Normal view MARC view ISBD view

Hf-based high-k dielectrics : process development, performance characterization, and reliability /

By: Kim, Young-Hee 1972-.
Contributor(s): Lee, Jack Chung-Yeung.
Material type: materialTypeLabelBookSeries: Synthesis lectures on solid state materials and devices: #1.Publisher: San Rafael, Calif. (1537 Fourth Street, San Rafael, CA 94901 USA) : Morgan & Claypool Publishers, c2005Edition: 1st ed.Description: 1 electronic text (x, 92 p. : ill.) : digital file.ISBN: 1598290045 (electronic bk.); 9781598290059 (electronic bk.).Uniform titles: Synthesis digital library of engineering and computer science. Subject(s): Dielectrics | Hafnium oxide | Integrated circuits -- Reliability | Semiconductors -- Junctions | Breakdown (Electricity) | Metal oxide semiconductor field-effect transistors | TDDB | Weibull slope | MOSFET | Soft breakdown | Mobility | Interface engineering | Dynamic reliability | High-k dielectrics | HfO2DDC classification: 537/.24 Online resources: Abstract with links to resource | Abstract with links to resource Also available in print.
Contents:
Introduction -- Front end device technology evolutions -- Beyond 45nm technology -- Issues in high-k dielectrics -- Hard- and soft-breakdown characteristics of ultrathin HfO2 under dynamic and constant voltage stress -- Motivation for high-k gate dielectrics -- Reliability issues of high-k dielectrics -- Breakdown behaviors of HfO2 under dc stressing -- Dynamic reliability of HfO2 -- Impact of high temperature forming gas and D2 anneal on reliability of HfO2 gate dielectrics -- Previous results -- Effect of D2 anneal on various surface preparations -- Effect of high temperature forming gas in terms of reliability -- Effect of barrier height and the nature of bilayer structure of HfO2 with dual metal gate technology -- Motivation -- Experimental procedure -- Results and discussion -- Bimodal defect generation rate by low barrier height and its impact on reliability characteristics -- Motivation -- Experimental procedure -- Results and discussion.
Summary: In this work, the reliability of HfO2 (hafnium oxide) with poly gate and dual metal gate electrode (Ru-Ta alloy, Ru) was investigated. Hard breakdown and soft breakdown, particularly the Weibull slopes, were studied under constant voltage stress. Dynamic stressing has also been used. It was found that the combination of trapping and detrapping contributed to the enhancement of the projected lifetime. The results fromthe polarity dependence studies showed that the substrate injection exhibited a shorter projected lifetime and worse soft breakdown behavior, compared to the gate injection. The origin of soft breakdown (first breakdown) was studied and the results suggested that the soft breakdownmay be due to one layer breakdown in the bilayer structure (HfO2/SiO2: 4 nm/4 nm). Low Weibull slope was in part attributed to the lower barrier height of HfO2 at the interface layer. Interface layer optimization was conducted in terms of mobility, swing, and short channel effect using deep submicron MOSFET devices.
    average rating: 0.0 (0 votes)
Item type Current location Call number Status Date due Barcode Item holds
E books E books PK Kelkar Library, IIT Kanpur
Available EBKE017
Total holds: 0

System requirements: Adobe Acrobat Reader.

Mode of access: World Wide Web.

Series from website.

Part of : Synthesis digital library of engineering and computer science.

Includes bibliographical references (p. 86-90).

Introduction -- Front end device technology evolutions -- Beyond 45nm technology -- Issues in high-k dielectrics -- Hard- and soft-breakdown characteristics of ultrathin HfO2 under dynamic and constant voltage stress -- Motivation for high-k gate dielectrics -- Reliability issues of high-k dielectrics -- Breakdown behaviors of HfO2 under dc stressing -- Dynamic reliability of HfO2 -- Impact of high temperature forming gas and D2 anneal on reliability of HfO2 gate dielectrics -- Previous results -- Effect of D2 anneal on various surface preparations -- Effect of high temperature forming gas in terms of reliability -- Effect of barrier height and the nature of bilayer structure of HfO2 with dual metal gate technology -- Motivation -- Experimental procedure -- Results and discussion -- Bimodal defect generation rate by low barrier height and its impact on reliability characteristics -- Motivation -- Experimental procedure -- Results and discussion.

Abstract freely available; full-text restricted to subscribers or individual document purchasers.

Google book search

Google scholar

INSPEC

Compendex

In this work, the reliability of HfO2 (hafnium oxide) with poly gate and dual metal gate electrode (Ru-Ta alloy, Ru) was investigated. Hard breakdown and soft breakdown, particularly the Weibull slopes, were studied under constant voltage stress. Dynamic stressing has also been used. It was found that the combination of trapping and detrapping contributed to the enhancement of the projected lifetime. The results fromthe polarity dependence studies showed that the substrate injection exhibited a shorter projected lifetime and worse soft breakdown behavior, compared to the gate injection. The origin of soft breakdown (first breakdown) was studied and the results suggested that the soft breakdownmay be due to one layer breakdown in the bilayer structure (HfO2/SiO2: 4 nm/4 nm). Low Weibull slope was in part attributed to the lower barrier height of HfO2 at the interface layer. Interface layer optimization was conducted in terms of mobility, swing, and short channel effect using deep submicron MOSFET devices.

Also available in print.

Title from PDF t.p. (viewed on Oct. 27, 2008).

There are no comments for this item.

Log in to your account to post a comment.

Powered by Koha